HP Series 7 Guide de l'utilisateur

Naviguer en ligne ou télécharger Guide de l'utilisateur pour PC/postes de travail HP Series 7. HP Series 7 User guide Manuel d'utilisatio

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 186
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs

Résumé du contenu

Page 1 - SelectIO Resources

7 Series FPGAs SelectIO ResourcesUser GuideUG471 (v1.5) May 15, 2015

Page 2 - Revision History

10 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015

Page 3

100 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesLVTTL HR 3.3 3.3 N/A N/AMINI_LVDS

Page 4

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 101UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankTable 1-56,

Page 5 - Table of Contents

102 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSLVDCI_15 HP N/A N/A Yes Driver

Page 6

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 103UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankMINI_LVDS_25

Page 7

104 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSimultaneous Switching OutputsDue

Page 8

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 105UG471 (v1.5) May 15, 2015Chapter 2SelectIO Logic ResourcesIntroductionThis chapter desc

Page 9

106 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesILOGIC ResourcesThe ILOGIC

Page 10 - UG471 (v1.5) May 15, 2015

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 107UG471 (v1.5) May 15, 2015ILOGIC ResourcesX-Ref Target - Figure 2-3Figure 2-3: ILOGICE2

Page 11 - About This Guide

108 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesILOGIC can support the foll

Page 12 - Preface: About This Guide

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 109UG471 (v1.5) May 15, 2015ILOGIC ResourcesThe ILOGIC block registers have a common synch

Page 13

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 11UG471 (v1.5) May 15, 2015PrefaceAbout This GuideXilinx® 7 series FPGAs include three uni

Page 14 - New Features

110 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesSAME_EDGE ModeIn the SAME_E

Page 15

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 111UG471 (v1.5) May 15, 2015ILOGIC ResourcesInput DDR Resources (IDDR)Figure 2-8 shows the

Page 16

112 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDDR VHDL and Verilog Templ

Page 17 - 7 Series FPGA I/O Bank Rules

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 113UG471 (v1.5) May 15, 2015ILOGIC Resources• At time TIDOCK before Clock Event 1, the inp

Page 18

114 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 9• At time TISR

Page 19 - Introduction

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 115UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)IDELAYE2 PrimitiveFigure 2-11 sh

Page 20 - Xilinx DCI

116 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAY PortsData Input from

Page 21

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 117UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)Pipeline Register Reset - REGRST

Page 22 - DCI Cascading

118 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAY AttributesTable 2-5

Page 23

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 119UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)IDELAY_TYPE AttributeThe IDELAY_

Page 24

12 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Preface: About This Guide

Page 25

120 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesIDELAYCTRL primitive must b

Page 26

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 121UG471 (v1.5) May 15, 2015Input Delay Resources (IDELAY)Figure 2-12 shows an IDELAY (IDE

Page 27

122 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 0Before LD is p

Page 28 - DCI and 3-state DCI (T_DCI)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 123UG471 (v1.5) May 15, 2015IDELAYCTRLIn VHDL, each template has a component declaration s

Page 29

124 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesRDY - ReadyThe ready (RDY)

Page 30

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 125UG471 (v1.5) May 15, 2015OLOGIC ResourcesIDELAYCTRL Usage and Design GuidelinesFor more

Page 31 - DCI Usage Examples

126 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesThis section of the documen

Page 32

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 127UG471 (v1.5) May 15, 2015OLOGIC ResourcesOPPOSITE_EDGE ModeIn OPPOSITE_EDGE mode, both

Page 33

128 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesOutput DDR Primitive (ODDR)

Page 34

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 129UG471 (v1.5) May 15, 2015OLOGIC ResourcesTiming CharacteristicsFigure 2-21 illustrates

Page 35 - IBUF_IBUFDISABLE

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 13UG471 (v1.5) May 15, 2015Chapter 1SelectIO ResourcesI/O Tile OverviewInput/output charac

Page 36 - IBUFDS and IBUFGDS

130 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesClock Event 4At time TOSRCK

Page 37 - IBUFDS_IBUFDISABLE

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 131UG471 (v1.5) May 15, 2015OLOGIC ResourcesFigure 2-23 illustrates the OLOGIC 3-state reg

Page 38 - IBUFDS_DIFF_OUT_INTERMDISABLE

132 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesFigure 2-24 illustrates IOB

Page 39 - IOBUF_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 133UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksOutpu

Page 40 - IOBUF_INTERMDISABLE

134 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesODELAY PortsData Input from

Page 41 - IOBUFDS_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 135UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksPipel

Page 42 - IOBUFDS_DIFF_OUT

136 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesODELAY AttributesTable 2-14

Page 43 - IOBUFDS_DIFF_OUT_DCIEN

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 137UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksODELA

Page 44 - IOBUFDS_INTERMDISABLE

138 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic Resourcesthe FPGA logic. When LD is

Page 45

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 139UG471 (v1.5) May 15, 2015Output Delay Resources (ODELAY)—Not Available in HR BanksClock

Page 46 - DCI_CASCADE Constraint

14 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesNew FeaturesThe 7 series devices s

Page 47 - IBUF_LOW_PWR Attribute

140 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 2: SelectIO Logic ResourcesStability after an Incremen

Page 48 - Output Slew Rate Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 141UG471 (v1.5) May 15, 2015Chapter 3Advanced SelectIO Logic ResourcesIntroductionThe I/O

Page 49

142 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 contains

Page 50 - VCCAUX_IO Constraint

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 143UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)ISERDESE2

Page 51 - LVTTL (Low Voltage TTL)

144 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 PortsReg

Page 52

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 145UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Combinator

Page 53

146 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesWhen NUM_CE = 1, t

Page 54 - LVCMOS (Low Voltage CMOS)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 147UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Serial Inp

Page 55

148 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesISERDESE2 Attribut

Page 56

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 149UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)DATA_RATE

Page 57 - LVDCI _ DV2

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 15UG471 (v1.5) May 15, 2015SelectIO Resources IntroductionSelectIO Resources IntroductionA

Page 58

150 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesNUM_CE AttributeTh

Page 59 - HSLVDCI (High-Speed LVDCI)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 151UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)The only v

Page 60 - HSTL_ II and HSTL_ II_18

152 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesin this mode. The

Page 61

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 153UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)MEMORY_DDR

Page 62 - N/A Available

154 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources4. The SLAVE uses

Page 63 - External Termination

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 155UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)Using D an

Page 64 - Differential HSTL Class I

156 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesnames do not chang

Page 65

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 157UG471 (v1.5) May 15, 2015Input Serial-to-Parallel Logic Resources (ISERDESE2)a shift ri

Page 66 - HSTL Class II

158 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesBitslip Timing Mod

Page 67

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 159UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)Output Pa

Page 68 - Differential HSTL Class II

16 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesX-Ref Target - Figure 1-2Figure 1-

Page 69

160 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources3-State Parallel-t

Page 70

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 161UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Page 71

162 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources3-state Control Ou

Page 72 - (T pin logic High)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 163UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Page 73

164 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesDATA_WIDTH Attribu

Page 74

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 165UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Page 75

166 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTable 3-9 lists th

Page 76 - DIFF_SSTL135

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 167UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)OSERDESE2

Page 77 - User Guide for details

168 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTiming Characteris

Page 78

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 169UG471 (v1.5) May 15, 2015Output Parallel-to-Serial Logic Resources (OSERDESE2)Timing Ch

Page 79

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 17UG471 (v1.5) May 15, 2015SelectIO Resources General GuidelinesSelectIO Resources General

Page 80

170 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesClock Event 4Betwe

Page 81

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 171UG471 (v1.5) May 15, 2015IO_FIFO OverviewClock Event 2The data bit E appears at OQ one

Page 82

172 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesThe IO_FIFOs have

Page 83

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 173UG471 (v1.5) May 15, 2015IO_FIFO Overview• 4 x 8 mode – This mode configures the FIFO t

Page 84 - HSUL_12 and DIFF_HSUL_12

174 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesTable 3-15 lists t

Page 85 - Example Board Topology

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 175UG471 (v1.5) May 15, 2015IO_FIFO OverviewOUT_FIFOThe OUT_FIFO is co-located with the IN

Page 86 - Differential HSUL_12

176 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resourcesused when the outp

Page 87

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 177UG471 (v1.5) May 15, 2015IO_FIFO OverviewTable 3-18 lists the available ports in the OU

Page 88 - MOBILE_DDR (Low Power DDR)

178 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic ResourcesResetting the IO_F

Page 89

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 179UG471 (v1.5) May 15, 2015IO_FIFO Overview.Table 3-19: IO_FIFO AttributesAttribute Value

Page 90

18 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSupply Voltages for the SelectIO P

Page 91 - Receiver Termination

180 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 3: Advanced SelectIO Logic Resources

Page 92

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 181UG471 (v1.5) May 15, 2015Appendix ATermination Options for SSO Noise AnalysisThe PlanAh

Page 93 - Input to the FPGA

182 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise AnalysisLVCMOS (

Page 94

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 183UG471 (v1.5) May 15, 2015Figure A-1 illustrates each of these terminations.TMDS_33 Far

Page 95

184 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise AnalysisX-Ref Ta

Page 96 - BLVDS (Bus LVDS)

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 185UG471 (v1.5) May 15, 2015

Page 97

186 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Appendix A: Termination Options for SSO Noise Analysis

Page 98

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 19UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksThere is a

Page 99 - Table 1-55: V

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com UG471 (v1.5) May 15, 2015The information disclosed to you hereunder (the "Materials&q

Page 100

20 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resourcesimpedance due to process variation

Page 101

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 21UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFor control

Page 102 - Chapter 1: SelectIO Resources

22 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDCIRESET PrimitiveDCIRESET is a Xi

Page 103

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 23UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFigure 1-7

Page 104

24 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe guidelines when using DCI casc

Page 105 - SelectIO Logic Resources

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 25UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksControlled

Page 106 - ILOGIC Resources

26 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSplit-Termination DCI (Thevenin Eq

Page 107

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 27UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksFigure 1-11

Page 108 - ZHOLD_DELAY

28 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDCI and 3-state DCI (T_DCI)The cla

Page 109 - OPPOSITE_EDGE Mode

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 29UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksThe I/O sta

Page 110 - SAME_EDGE_PIPELINED Mode

UG471 (v1.5) May 15, 2015 www.xilinx.com 7 Series FPGAs SelectIO Resources User Guide07/20/2012 1.2(Cont’d)Updated ILOGIC Resources. In Table 2-3, add

Page 111 - Input DDR Resources (IDDR)

30 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTo correctly use DCI in 7 series d

Page 112 - ILOGIC Timing Models

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 31UG471 (v1.5) May 15, 20157 Series FPGA DCI—Only available in the HP I/O banksDCI Usage E

Page 113 - Clock Event 1

32 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesX-Ref Target - Figure 1-14Figure 1

Page 114 - Clock Event 9

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 33UG471 (v1.5) May 15, 2015Uncalibrated Split Termination in High-Range I/O Banks (IN_TERM

Page 115 - IDELAYE2 Primitive

34 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resources7 Series FPGA SelectIO PrimitivesT

Page 116 - IDELAY Ports

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 35UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesMore information including ins

Page 117 - IDELAYRESOLUTION

36 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesIBUF_INTERMDISABLEThe IBUF_INTERMD

Page 118 - IDELAY Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 37UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIBUFDS_DIFF_OUT and IBUFGDS_DI

Page 119 - IDELAY Modes

38 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe IBUFDS_IBUFDISABLE primitive c

Page 120 - IDELAY Timing

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 39UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIBUFDISABLE port that can be u

Page 121 - Clock Event 3

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com UG471 (v1.5) May 15, 201505/13/2014 1.4(Cont’d)Added to list of criteria after Table 1-44.

Page 122 - Clock Event 0

40 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSplit-Termination DCI (Thevenin Eq

Page 123 - IDELAYCTRL

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 41UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesThe IOBUF_INTERMDISABLE primit

Page 124 - IDELAYCTRL Locations

42 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThe IOBUFDS_DCIEN primitive can di

Page 125 - OLOGIC Resources

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 43UG471 (v1.5) May 15, 20157 Series FPGA SelectIO PrimitivesIOBUFDS_DIFF_OUT_DCIENThe IOBU

Page 126 - Output DDR Overview (ODDR)

44 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resourcessplit-termination DCI feature, thi

Page 127 - Clock Forwarding

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 45UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Primitives(IN_TERM) for more details on

Page 128 - OLOGIC Timing Models

46 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesOBUFTThe generic 3-state output bu

Page 129 - Timing Characteristics

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 47UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Attributes/ConstraintsCONFIG DCI_CASCADE

Page 130

48 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO Resources•All VREF-based inputs such as HSL

Page 131

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 49UG471 (v1.5) May 15, 20157 Series FPGA SelectIO Attributes/ConstraintsThe DRIVE attribut

Page 132

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 5UG471 (v1.5) May 15, 2015Revision History . . . . . . . . . . . . . . . . . . . . . . . .

Page 133 - ODELAYE2 Primitive

50 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesInternal VREFThe VREF for an I/O b

Page 134 - ODELAY Ports

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 51UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsIn Verilog, the Verilog

Page 135

52 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-37 shows unidirectional t

Page 136 - ODELAY Attributes

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 53UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-38 shows a bid

Page 137 - ODELAY Modes

54 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesLVCMOS (Low Voltage CMOS)LVCMOS is

Page 138 - ODELAY Timing

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 55UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-40 shows a bid

Page 139

56 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-14 details the allowed att

Page 140

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 57UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsLVDCI _ DV2A controlled

Page 141 - Chapter 3

58 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesThere are no optional current driv

Page 142

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 59UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSLVDCI (High-Speed LVD

Page 143 - Primitive

6 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015IOBUFDS_DIFF_OUT . . . . . . . . . . . . . . . . . . . . . . . .

Page 144 - Registered Outputs – Q1 to Q8

60 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL (High-Speed Transceiver Logic

Page 145 - Bitslip Operation - BITSLIP

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 61UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSTL_ II_DCI and HSTL_

Page 146 - Divided Clock Input - CLKDIV

62 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL can also be used

Page 147 - Reset Input - RST

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 63UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsX-Ref Target - Figure 1

Page 148 - ISERDESE2 Attributes

64 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL Class IFigure 1-

Page 149 - INTERFACE_TYPE Attribute

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 65UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-48 shows a sam

Page 150 - ISERDESE2 Clocking Methods

66 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL Class IIFigure 1-49 shows a s

Page 151 - OVERSAMPLE Interface Type

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 67UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-50 shows a sam

Page 152

68 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential HSTL Class IIFigure 1

Page 153 - ISERDESE2 Width Expansion

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 69UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-52 shows a sam

Page 154 - OSERDESE2

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 7UG471 (v1.5) May 15, 2015SSTL18, SSTL15, SSTL135, SSTL12 . . . . . . . . . . . . . . . .

Page 155

70 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-53 shows a sample circuit

Page 156 - BITSLIP Submodule

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 71UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-54 shows a sam

Page 157

72 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesHSTL_II_T_DCI (1.5V or 1.8V) Split

Page 158 - After Clock Event 3

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 73UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-56 shows a sam

Page 159

74 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL (Stub-Series Terminated Logic

Page 160 - OSERDESE2 Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 75UG471 (v1.5) May 15, 2015Supported I/O Standards and Terminationsbidirectional signals (

Page 161 - Data Path Output - OQ

76 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL15_R, SSTL135_R, DIFF_SSTL15_R

Page 162

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 77UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsNote: A lower resistanc

Page 163 - DATA_RATE_TQ Attribute

78 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL18, SSTL15, SSTL135, SSTL12Fig

Page 164 - TRISTATE_WIDTH Attribute

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 79UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-58 shows a sam

Page 165 - OSERDESE2 Width Expansion

8 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Combinatorial Output Data and 3-State Control Path . . . . . .

Page 166 - OSERDESE2 Latencies

80 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesDifferential SSTL18, SSTL15, SSTL1

Page 167

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 81UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-60 shows a sam

Page 168

82 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-61 shows a sample circuit

Page 169

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 83UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-62 shows a sam

Page 170

84 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesSSTL18, SSTL15, SSTL135, or SSTL12

Page 171 - IO_FIFO Overview

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 85UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsHSUL_DCI_12 and DIFF_HS

Page 172 - Register Register

86 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-65 shows a sample circuit

Page 173 - IN_FIFO Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 87UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsFigure 1-67 shows a sam

Page 174 - Table 3-15: IN_FIFO Ports

88 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-69 shows a sample circuit

Page 175 - OUT_FIFO

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 89UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsTable 1-40: IOSTANDARD

Page 176 - OUT_FIFO Primitive

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 9UG471 (v1.5) May 15, 2015ISERDESE2 Feedback from OSERDESE2 . . . . . . . . . . . . . . .

Page 177 - Table 3-18: OUT_FIFO Ports

90 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-41: IOSTANDARD Attributes

Page 178 - EMPTY and FULL Flags

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 91UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsLVDS and LVDS_25 (Low V

Page 179

92 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesFigure 1-71 is an example of a dif

Page 180

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 93UG471 (v1.5) May 15, 2015Supported I/O Standards and Terminations• The differential sign

Page 181 - SSO Noise Analysis

94 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesRSDS (Reduced Swing Differential S

Page 182

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 95UG471 (v1.5) May 15, 2015Supported I/O Standards and TerminationsPPDS (Point-to-Point Di

Page 183 - Default Termination

96 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesBLVDS (Bus LVDS)Since LVDS is inte

Page 184

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 97UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankRules for Com

Page 185

98 www.xilinx.com 7 Series FPGAs SelectIO Resources User GuideUG471 (v1.5) May 15, 2015Chapter 1: SelectIO ResourcesTable 1-55, summarizes the VCCO an

Page 186

7 Series FPGAs SelectIO Resources User Guide www.xilinx.com 99UG471 (v1.5) May 15, 2015Rules for Combining I/O Standards in the Same BankDIFF_SSTL18_I

Commentaires sur ces manuels

Pas de commentaire