HP Series 7 Guide de l'utilisateur Page 114

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 186
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 113
114 www.xilinx.com 7 Series FPGAs SelectIO Resources User Guide
UG471 (v1.5) May 15, 2015
Chapter 2: SelectIO Logic Resources
Clock Event 9
At time T
ISRCK
before Clock Event 9, the SR signal (configured as synchronous reset in
this case) becomes valid-high resetting Q1 at time T
ICKQ
after Clock Event 9, and Q2
at time T
ICKQ
after Clock Event 10.
Table 2-3 describes the timing parameters of the ILOGIC switching characteristics in the
7 series FPGA data sheets
.
Note:
The DDLY pin timing diagrams and parameters are identical to the D pin timing diagrams and
parameters.
Input Delay Resources (IDELAY)
Every I/O block contains a programmable delay primitive called IDELAYE2. The IDELAY
can be connected to an ILOGICE2/ISERDESE2 or ILOGICE3/ISERDESE2 block.
IDELAYE2 is a 31-tap, wraparound, delay primitive with a calibrated tap resolution. Refer
to the 7 series FPGA data sheets
for delay values. It can be applied to the combinatorial
input path, registered input path, or both. It can also be accessed directly from the FPGA
logic. IDELAY allows incoming signals to be delayed on an individual input pin basis. The
tap delay resolution is contiguously calibrated by the use of an IDELAYCTRL reference
clock from the range specified in the 7 series FPGA data sheets
.
Table 2-3: ILOGIC Switching Characteristics
Symbol Description
Setup/Hold
T
ICE1CK
/T
ICKCE1
CE1 pin Setup/Hold with respect to CLK
T
ISRCK
/T
ICKSR
SR pin Setup/Hold with respect to CLK
T
IDOCK
/T
IOCKD
D pin Setup/Hold with respect to CLK
T
ICOCKD
/T
IOCKDD
DDLY pin Setup/Hold with respect to CLK
Combinatorial
T
IDI
D pin to O pin propagation delay, no Delay
Sequential Delays
T
IDLO
D pin to Q1 pin using flip-flop as a latch without Delay
T
ICKQ
CLK to Q outputs
T
RQ
SR pin to OQ/TQ out
Vue de la page 113
1 2 ... 109 110 111 112 113 114 115 116 117 118 119 ... 185 186

Commentaires sur ces manuels

Pas de commentaire